jueves, 18 de noviembre de 2010

CPLD's. Creamos un simbolo a partir de VHDL.

VHDL son las siglas de VHSIC (Very High Speed Integrated Circuit) Hardware Description Language


Un diseño en VHDL consiste en un conjunto de unidades separadas, las cuales son compiladas y almacenadas en una librería. Las cuatro unidades compilables son:
Package
Entity
Architecture
Configuration

Lo más importante son las Entidades y las Arquitecturas

Las entidades son el elemento básico del lenguaje VHDL. Una entity define la descripción externa de un circuito:Corresponde a la edición de un símbolo con sus entradas y salidas en un esquemático de un circuito.
Nombre
Puertos de entrada y salida
Parámetros genéricos
Etc...


Una arquitectura especifica el comportamiento, interconexiones y componentes de un diseño antes de ser compilado.

Para realizar el simbolo del decodificador de bcd de 7 segmentos lo que vamos ha hacer es realizar un codigo VHDL a partir de una plantilla.
Xilins nos ofrece una gran variedad de plantillas para que, sin necesidad de conocer el lenguage, podamos realizar nuestros esquemas.

Para ello crearemos un nuevo fichero de tipo VHDL con un bus de entrada de 4 y uno de salida de 7.
Hemos nombrado la entidad como bcd_vhdl y la arquitectura la definimos de tipo Behavorial.
Los nombres les hemos sacado de la plantilla que utilizaremos más adelante.
Una vez finalizado este paso, obtendremos esta ventana:


Esta ventana nos define la entidad y la arquitectura del CPLD.

Buscamos una plantilla para programar en VHDL el decoficador BCD de 7 segmentos.
Ahora copiamos ese codigo en nuestra ventana.
Pero antes, hemos de cambiar aqui, los 1 por 0 y viceversa.
Esto es porque nosotros vamos a usar un display de cátodo comun.
Al final quedaria algo asi:


Finalmente creamos el simbolo y lo incorporamos a nuestro esquema:
Ahora muestro 2 imagenes de la simulacion, echa con el TestBench, igual que en los primeros proyectos:


 Aqui tenemos los pines correspondientes

No hay comentarios:

Publicar un comentario